Conference Articles

2024

  1. HPCA
    CAMEL: Co-Designing AI Models and Embedded DRAMs for Efficient On-Device Learning
    Sai Qian Zhang*, Thierry Tambe*, Nestor Cuevas, Gu-Yeon Wei, and David Brooks
    In International Symposium on High-Performance Computer Architecture (HPCA), 2024
  2. ISSCC
    A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-based Data Orchestration
    M.C. Santos, T. Jia, J. Zuckerman, M. Cochet, D. Giri, E. Loscalzo, K. Swaminathan, T. Tambe, J. Zhang, A. Buyuktosunoglu, K-L. Chiu, G-D. Guglielmo, G. Tombesi, D. Trilla, J-D. Wellman, E-Y. Yang, A. Amarnath, Y. Jing, B. Mishra, J. Park, V. Suresh, S. Adve, D. Brooks, L. Carloni, K. Shepard, and G-Y. Wei
    In 2024 IEEE International Solid- State Circuits Conference (ISSCC), 2024

2023

  1. IROS
    VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning
    Yu-Shun Hsiao, Siva Hari, Balakumar Sundaralingam, Jason Yik, Thierry Tambe, Charbel Sakr, Stephen Keckler, and Vijay Janapa Reddi
    In IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS), 2023
  2. ISSCC
    A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management
    Thierry Tambe, Jeff Zhang, Coleman Hooper, Tianyu Jia, Paul N. Whatmough, Joseph Zuckerman, Maico Cassel Dos Santos, Erik Jens Loscalzo, Davide Giri, Kenneth Shepard, Luca Carloni, Alexander Rush, David Brooks, and Gu-Yeon Wei
    In 2023 IEEE International Solid- State Circuits Conference (ISSCC), 2023

2022

  1. DSN
    GoldenEye: A Platform for Evaluating Emerging Numerical Data Formats in DNN Accelerators
    Abdulrahman Mahmoud, Thierry Tambe, Tarek Aloui, David Brooks, and Gu-Yeon Wei
    In 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2022
  2. ICS
    ASAP: Automatic Synthesis of Area-Efficient and Precision-Aware CGRAs
    Cheng Tan, Thierry Tambe, Jeff (Jun) Zhang, Bo Fang, Tong Geng, Gu-Yeon Wei, David Brooks, Antonino Tumeo, Ganesh Gopalakrishnan, and Ang Li
    In Proceedings of the 36th ACM International Conference on Supercomputing, 2022

2021

  1. MICRO
    EdgeBERT: Sentence-Level Energy Optimizations for Latency-Aware Multi-Task NLP Inference
    Thierry Tambe, Coleman Hooper, Lillian Pentecost, Tianyu Jia, En-Yu Yang, Marco Donato, Victor Sanh, Paul Whatmough, Alexander M. Rush, David Brooks, and Gu-Yeon Wei
    In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
  2. ASPLOS
    Robomorphic Computing: A Design Methodology for Domain-Specific Accelerators Parameterized by Robot Morphology
    Sabrina M. Neuman, Brian Plancher, Thomas Bourgeat, Thierry Tambe, Srinivas Devadas, and Vijay Janapa Reddi
    In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
  3. ISSCC
    A 25mm2 SoC for IoT Devices with 18ms Noise-Robust Speech-to-Text Latency via Bayesian Speech Denoising and Attention-Based Sequence-to-Sequence DNN Speech Recognition in 16nm FinFET
    Thierry Tambe, En-Yu Yang, Glenn G. Ko, Yuji Chai, Coleman Hooper, Marco Donato, Paul N. Whatmough, Alexander M. Rush, David Brooks, and Gu-Yeon Wei
    In 2021 IEEE International Solid- State Circuits Conference (ISSCC), 2021

2020

  1. HotChips
    A Scalable Bayesian Inference Accelerator for Unsupervised Learning
    Glenn Ko, Yuji Chai, Marco Donato, Paul N. Whatmough, Thierry Tambe, Rob A. Rutenbar, Gu-Yeon Wei, and David Brooks
    In 2020 IEEE Hot Chips 32 Symposium (HCS), 2020
  2. DAC
    Best Paper Award
    Algorithm-Hardware Co-Design of Adaptive Floating-Point Encodings for Resilient Deep Learning Inference
    Thierry Tambe, En-Yu Yang, Zishen Wan, Yuntian Deng, Vijay Janapa Reddi, Alexander Rush, David Brooks, and Gu-Yeon Wei
    In 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020
  3. VLSI Symp
    A 3mm2 Programmable Bayesian Inference Accelerator for Unsupervised Machine Perception using Parallel Gibbs Sampling in 16nm
    Glenn G. Ko, Yuji Chai, Marco Donato, Paul N. Whatmough, Thierry Tambe, Rob A. Rutenbar, David Brooks, and Gu-Yeon Wei
    In 2020 IEEE Symposium on VLSI Circuits, 2020

2019

  1. PACT
    MASR: A Modular Accelerator for Sparse RNNs
    Gupta Udit, Brandon Reagen, Lillian Pentecost, Marco Donato, Thierry Tambe, Alexander Rush, Gu-Yeon Wei, and David Brooks
    In 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT), 2019

Journal Articles

2023

  1. JSSC
    A 16-nm SoC for Noise-Robust Speech and NLP Edge AI Inference With Bayesian Sound Source Separation and Attention-Based DNNs
    Thierry Tambe, En-Yu Yang, Glenn G. Ko, Yuji Chai, Coleman Hooper, Marco Donato, Paul N. Whatmough, Alexander M. Rush, David Brooks, and Gu-Yeon Wei
    IEEE Journal of Solid-State Circuits, 2023

Technical Reports

2022

  1. LATTE
    Learnings from a HLS-based High-Productivity Digital VLSI Flow
    Thierry Tambe, David Brooks, and Gu-Yeon Wei
    2022

2019

  1. ArXiv
    AdaptivFloat: A Floating-point based Data Type for Resilient Deep Learning Inference
    Thierry Tambe, En-Yu Yang, Zishen Wan, Yuntian Deng, Vijay Janapa Reddi, Alexander M. Rush, David M. Brooks, and Gu-Yeon Wei
    2019

Preprints

2022

  1. ArXiv
    Specialized Accelerators and Compiler Flows: Replacing Accelerator APIs with a Formal Software/Hardware Interface
    Bo-Yuan Huang, Steven Lyubomirsky, Yi Li, Mike He, Thierry Tambe, Gus Henry Smith, Akash Gaonkar, Vishal Canumalla, Gu-Yeon Wei, Aarti Gupta, Zachary Tatlock, and Sharad Malik
    2022

Theses

2023

  1. Ph.D.
    Architecting High Performance Silicon Systems for Accurate and Efficient On-Chip Deep Learning
    Thierry Tambe
    2023
    PhD Dissertation, Electrical Engineering, Harvard University